Fa'amama vave le vevela la'ititi fa'atonuga fa'aulufalega autu, filifili I3 I5 I7 J6412

Fa'amatalaga Puupuu:

Fa'ailoaina atu le matou Va'aiga Va'ava'a Va'ava'ava'a Laiti Fa'atonu Fa'aolataga.O lenei masini fa'atauva'a ua mamanuina e fa'afouina ai faiga fa'atonutonu fa'apisinisi fa'atasi ai ma lona fa'atinoga fa'apitoa ma le lelei e le fa'atusalia.Pe o e manaʻomia se fofo faʻatuatuaina mo le faʻaogaina o masini, faʻatonuga faʻagasologa, poʻo isi faʻaoga faʻapisinisi, o la matou faʻauluuluga e tu o le filifiliga sili.


Fa'amatalaga Oloa

Faailoga o oloa

Oloa Vitio

O lenei vitio o loʻo faʻaalia ai le oloa ile 360 ​​tikeri.

Teteʻe oloa i le maualuga ma le maualalo o le vevela, mamanu tapunia atoatoa e ausia ai le puipuiga o le IP65, e mafai 7 * 24H faʻaauau pea le faʻagaioiina, lagolagoina le tele o auala faʻapipiʻi, e mafai ona filifilia le tele o lapopoa, lagolago customization.

Faʻaaogaina i masini faʻapisinisi, fomaʻi atamai, aerospace, taavale GAV, faʻatoʻaga atamai, felauaiga atamai ma isi pisinisi.

Iti Alamanuia Pulea Autu Fa'ailoga Autu:

Fa'ato'a vave o le vevela: Fa'aauupegaina i tekonolosi fa'aonaponei, o la matou fa'auluuluga e fa'amautinoa ai le fa'avaveina o le vevela e o'o lava i taimi tetele o galuega.O lenei mea e fa'amaonia ai le fa'atinoga sili ona lelei ma puipuia ai so'o se mea e ono fa'aleagaina ona o le vevela tele.
Mini Design: Faʻatasi ai ma lona faʻapipiʻi ma le faʻasaoina o avanoa, o la matou faʻapipiʻi autu e lelei atoatoa mo faʻapipiʻi pe a avanoa avanoa.O lona laʻititi laʻititi e le faʻafefeteina i le faʻatinoga poʻo le faʻatinoga, ma avea ai ma filifiliga lelei mo siosiomaga faʻapisinisi eseese.
Alamanuia Vasega Tumau: Fausia e faʻasaga i siosiomaga gaogao fale gaosi oloa, o la matou faʻauluuluga e faʻapipiʻiina mai mea sili ona lelei e ofoina atu le tumau lelei ma le teteʻe atu i tulaga faigata e pei o le vevela vevela, vibration, ma le pefu.O lenei mea e mautinoa ai le umi ma le faʻatuatuaina o le gaioiga.
Avanoa Lautele o Fa'atonuga Fa'atonu: O la matou fa'auluuluga e ofoina atu le tele o fa'atonuga e filifili ai, e aofia ai le filifiliga i le va o Intel processors pei o le I3, I5, I7, po'o le J6412 malosi tele.Ole mea lea e fa'atagaina ai le fa'avasegaina e fa'amalieina mana'oga fa'apitoa ma mana'oga fa'atinoga.

Mini Alamanuia Pulea Mainframe Mea Lelei:

Faʻaleleia le Faʻaleleia: O le faʻavaveina o le vevela o le malosi o la tatou faʻauluuluga e mafua ai le faʻaogaina lelei, puipuia ai soʻo se faʻaleagaina o faʻatinoga ona o le vevela.Ole mea lea e mafai ai ona fa'aauau le fa'aoga e aunoa ma le mana'omia o le fa'aleleia faifaipea po'o le fa'aletonu, e i'u ai ina fa'aleleia atili le gaosiga.
Tau-Tagata: O le umi ma le faʻatuatuaina o la tatou faʻauluuluga e faʻaitiitia ai le manaʻomia mo le faʻaleleia soo pe toe faʻaleleia, e mafua ai le faʻasaoina o tau i le taimi.E le gata i lea, o lona mamanu faʻapitoa e faʻasaoina ai avanoa taua ma faʻaitiitia ai tau faʻapipiʻi.
Talosaga Fetuuna'i: O le mamanu laititi ma le tele o fa'asologa e filifili ai e fa'aoga lelei ai la tatou fa'avaa mo le tele o fa'atonuga tau pisinisi.Pe o le masini fa'atosina, fa'atonuga o fa'agasologa, po'o isi faiga fa'apisinisi, e fetuutuuna'i ma fa'atino lelei le tatou fa'aa'oa'oga.

Mini Alamanuia Pulea Autu Fofo:

Ua fa'apipi'iina la matou fa'aa'oa'oga fa'atasi ma se atina'e fa'apitoa e faigofie ai ona fa'apipi'i, fa'agaioi, ma pulea.Ole mea lea e fa'amautinoa ai le fa'aogaina ole fa'aoga e leai se fa'alavelave, e o'o lava ia i latou e le masani ile fa'atonuga tau alamanuia.

Fa'amatalaga Parameter:

Parameter Fa'ata'ita'iga CPTB4A/CPTB4C/CPTB4D/CPTB4E/CPTB4F/CPTB4G
Tele atoa 164*126.4*53mm
Uiga fa'a'ave'esea e aunoa ma se ili
Outlook Tino alumini uma/uliuli
Fa'atonu Parameter PPU (Filifili) Intel®Celeron®processor J6412 (Quad-core quad-filo/auai tele 2GHz peak frequency 2.6GHz)
Intel®Core®processor I3-5005U (lua-autu quad-filo/tele fa'atele 2GHz)
Intel®Core®processor I5-4200U (lua-autu quad-filo/autu masani 1.6GHz pito maualuga 2.6GHz)
Intel®Core®processor I5-5200U (lua-autu quad-filo/autu masani 2.2GHz pito maualuga 2.7GHz)
Intel®Core®processor I7-4600U (lua-autu quad-filo/autu masani 2.1GHz pito maualuga 3.3GHz)
Intel®Core®processor I7-5600U (lua-autu quad-filo/autu masani 2.6GHz pito maualuga 3.2GHz)
Manatu 2G/4G/8G
Teuina 32G/64G/128G/256G/512G SSD
Lagolago faaopoopo 2.5 inisi harddisk
Initaneti RTL8111F gagibit ethernet
Faiga Win7/Win10/Linux
Fetufa'aiga LAN*2/Serial*2/USB*6/HDMI*1/VGA*1/Leo
Serial: fa'aletonu RS232, RS485 faitalia
WiFi(filifiliga) Lagolagoina faaopoopo WIFI module
4G(filifiliga) Lagolago fa'aopoopo 4G module
Malosiaga tuu tulaga masani 12V DC interface,dia-mita 5.5mm, totonu-diameter2.5mm
Su'ega eletise Fa'atonuga AC-DC 12V eletise
Si'osi'omaga Parameter Galulue vevela -20 ~ 70 ℃
Teuga vevela -30 ~ 80 ℃
Susū tagata faigaluega 5% ~ 95% susu, leai se condensation
Isi fa'amaufa'ailoga Fa'ata'ita'iga fa'ata'amilosaga fa'a'ave'esea e aunoa ma se ili
Ki le fa'ata'ita'iga Ia fa'agaoioia, lagolago le tagata lava ia fa'agaoioia e ala i le mana o lo'o sau
Taifau leoleo Lagolago toe setiina meafaigaluega (256 tulaga,0~255 sec)
Fa'apipi'i fa'ata'ita'iga fa'apipi'i nofoaafi/fa'apipi'i puipui/fa'apipi'i luga ole kesi/fa'apipi'i VESA
Lisi afifi Masini atoa*1/12V fetuutuunai*1/laina eletise faavaomalo*1/vae vae*4

 

Inisinia Ituaiga Tusia:


  • Muamua:
  • Sosoo ai:

  • Tusi lau savali iinei ma lafo mai ia i matou